Questions tagged [summer]
11 questions
5
votes
6 answers
In a negative feedback loop, why does increase in v_out lead to increase in v-?
I hope this is the right place to ask this question. (Please let me know if there's a better place to ask this.)
Basically, I'm quite confused about how we check if we have a negative feedback loop.
During lecture, when checking whether this was…

norwegian_forest
- 51
- 1
4
votes
6 answers
Universal (magic) Op-Amp Fundamentals
In a recent lecture in my AC Circuits course, the professor put this slide up and hastily explained the Universal Op-Amp. Since I already struggle with circuits, let alone operational amplifiers, I did not understand his explanation very well. What…

Jared M
- 83
- 6
2
votes
1 answer
Problem with summer in VHDL
I'm trying to do a summer in VHDL, but when I try simulate, appear an error.
The code:
library IEEE;
use IEEE.Std_Logic_1164.all;
entity aritmetico is
port (A: in std_logic_vector(2 downto 0);
B: in std_logic_vector(2 downto 0);
Control: in…

Lucas Raphael
- 21
- 1
1
vote
4 answers
Diodes circuit analysis problem and voltage calculation
I'm learning about diodes. In one of problems which book has solved, it says
Vo=((Vi-2)/2) +2
when both diodes are off.
I can't understand why we have "+2"
here.
My calculation of Vo is without +2
Vo=((Vi-2)/2)
Which on is correct? If the first…

Ali
- 13
- 3
1
vote
5 answers
Summarising from a group of sensors
I have a group of sensors the nominally output 2.5V but if they come in contact with a magnet they'll increase slightly or decrease slightly based on the polarity (north/south pole).
These sensors have a decent range but they're pretty narrow in…

Capn Jack
- 777
- 1
- 8
- 16
0
votes
3 answers
Inverting Amplifier
In the Figure shows what is the function of 0 and determines its value when
V0 = −3V1 − 2V2.
0
votes
2 answers
Which is the input resistance of the second amplifier?
If a apply a positive Vs, then D2 is blocked and D1 is working, right? How do I calculate Vo then? I know the formula is: - (input resistance / negative feedback resistor) * Vs, but I don't know which exactly is in this case, or in the case of a…

Elena
- 107
- 6
0
votes
2 answers
Very basic circuit question
My problem is in the VA, VB parts is the previous circuit equivalent to this (OPTION A):
Or to this (OPTION B):

Balawi28
- 77
- 6
0
votes
0 answers
Help in analysing circuit to show which resistor controls the differential gain
I understand the circuit to be a summing circuit but I am struggling to analyse past this point: Vout = R5 (((-R2/R1 * V2)/R4) * (V1 / R3)). can anyone analyse this further to prove that R5 is the controlling resistor?
simulate this circuit –…

mwilliams25
- 109
- 1
- 4
0
votes
1 answer
Designing a Silicon Photomultiplier Read-Out circuit
I'm designing a Silicon Photomultiplier circuit with an amplifier. The base circuit can be shown below.
simulate this circuit – Schematic created using CircuitLab
This was from SensL's recommended circuit layout for their Silicon Photomultiplier,…

BestQualityVacuum
- 456
- 7
- 32
0
votes
1 answer
Mixing two signals without affecting output bias point?
I want to mix together two signals. The signals have a DC bias but i'm only interested in the AC portion. Capacitors C1 and C2 are used to strip out the DC from the input. Potentiometers R1 and R2 can be used to attenuate the signals independently.…

vini_i
- 7,048
- 3
- 32
- 49