2

I am looking forward to learn Open Source VHDL Verification Methodology (OSVVM). In this regard, I wanted to know the following:

  • Can I use Xilinx ISE v10.1 and its in-built simulator for OSVVM based simulations? If so, how pls (any tutorials etc)

  • If not, which free tools (Windows based) will support OSVVM? I am aware of GHDL+GTKwave combination but am looking for something better.

  • Do you know of any books which I can use for learning OSVVM? I am trying internet resources but I will prefer a systematic approach.

Thanks.

TonyM
  • 21,742
  • 4
  • 39
  • 62
Arvind Gupta
  • 97
  • 1
  • 5
  • To learn OSVVM on your own, see readme which is rendered at the bottom of the page: https://github.com/osvvm/documentation An instructor led class is also available at: https://synthworks.com/public_vhdl_courses.htm#VHDL_Test_Bench_Training – Jim Lewis Feb 03 '22 at 23:48
  • OSVVM does not run yet under Xilinx tools. If you want to use it with Xilinx tools, file a bug report against their tools. It does run under Aldec ActiveHDL/RivieraPRO, Siemens ModelSim/QuestaSim, GHDL, and Synopsys VCS. We are working with Cadence to get our entire regression suite working under Xcelium - and it is real close. – Jim Lewis Feb 03 '22 at 23:52

1 Answers1

1

It does get half a chapter (Ch.20) in "Effective Coding with VHDL" (Ricardo Jasinski, MIT Press, 2016) Might be enough to get you started though OSVVM has developed further since.

Xilinx ISE simulator probably isn't up to OSVVM, and certainly not a version as old as ISE 10, you need pretty good VHDL-2008 support.

So, what's better than GHDL/GTKwave?

GHDL alone. The whole point of OSVVM is to get away from poring over waveforms. Combine OSVVM with Vunit for example, and you can automate a regression run on as many CPU cores as you have (no expensive licences). VUnit relies on Python, so it's easy to customise. Or you can script GHDL, or Makefile, whatever toolset you prefer.

Use GHDL (+OSVVM/Vunit) with the GCC backend, and GCOV (with LCOV) and you add code coverage to OSVVM's functional coverage, with fully open source tools.

  • Thanks for your reply. Pls elaborate on the following "Use GHDL (+OSVVM/Vunit) with the GCC backend, and GCOV (with LCOV) and you add code coverage to OSVVM's functional coverage, with fully open source tools." – Arvind Gupta Feb 03 '22 at 15:13
  • 1
    The OSVVM scripting flow has improved significantly in the last 6 months. Some of the extended HTML based reporting that OSVVM is able to provide about your test runs, see https://osvvm.org/archives/1879, is only available when you run it using OSVVM scripts. – Jim Lewis Feb 03 '22 at 23:47
  • @JimLewis ... interesting, does this OSVVM scripting replace Vunit in older flows? Sounds like it from a quick scan of that message. –  Feb 04 '22 at 00:01
  • 1
    @user_1818839 For the time being and for anyone who prefers to use TCL. OTOH, for those who like Python, see https://edaa-org.github.io/. They are incorporating scripting features of VUnit, OSVVM, and others. It is a small community. We try to collaborate as everyone only has a finite amount of time. – Jim Lewis Feb 04 '22 at 17:48
  • @JimLewis thanks! As you can tell, I am getting behind the times! edaa is good to know. –  Feb 04 '22 at 18:15