0

i want to use example design of GTP transceiver for my ARTIX 7, everything is fine but in the constraint xdc file, i could not find the TX and RX constraint, this is my constraint file, i want to know what is the set_property LOC GTPE2_Channel_X0Y4 is this constraint for TX and RX???do i have to right somthing like Set_property LOC E12 [get_ports RX_P_IN] for RXP???or this constraint is ok?

create_clock -period 8.333 [get_ports Q0_CLK1_GTREFCLK_PAD_P_IN]
create_clock -name drpclk_in_i -period 10.0 [get_ports DRP_CLK_IN_P]
set_false_path -to [get_pins -hierarchical -filter {NAME =~ 
*_txfsmresetdone_r*/CLR}]
set_false_path -to [get_pins -hierarchical -filter {NAME =~ 
*_txfsmresetdone_r*/D}]
set_false_path -to [get_pins -hierarchical -filter {NAME =~ 
*reset_on_error_in_r*/D}]
set_property LOC E10 [get_ports  Q0_CLK1_GTREFCLK_PAD_N_IN ] 
set_property LOC F10 [get_ports  Q0_CLK1_GTREFCLK_PAD_P_IN ]
set_property LOC C25 [get_ports  DRP_CLK_IN_P]
set_property LOC B25 [get_ports  DRP_CLK_IN_N]
set_property LOC GTPE2_CHANNEL_X0Y4 [get_cells gtwizard_0_support_i/gtwizard_0_init_i/inst/gtwizard_0_i/gt0_gtwizard_0_i/gtpe2_i]
set_property LOC GTPE2_CHANNEL_X0Y5 [get_cells gtwizard_0_support_i/gtwizard_0_init_i/inst/gtwizard_0_i/gt1_gtwizard_0_i/gtpe2_i]
set_property LOC GTPE2_CHANNEL_X0Y6 [get_cells gtwizard_0_support_i/gtwizard_0_init_i/inst/gtwizard_0_i/gt2_gtwizard_0_i/gtpe2_i]
set_property LOC GTPE2_CHANNEL_X0Y7 [get_cells gtwizard_0_support_i/gtwizard_0_init_i/inst/gtwizard_0_i/gt3_gtwizard_0_i/gtpe2_i]
connect_debug_port dbg_hub/clk [get_pins -hier -filter {name=~*DRP_CLK_BUFG*O}]

1 Answers1

0

Each site has dedicated TX and RX pins. So you can either constrain the pins or the whole instance, either will accomplish the same thing. Personally, I usually just constrain the pins and leave the tools to figure out which site corresponds to those pins.

alex.forencich
  • 40,694
  • 1
  • 68
  • 109
  • I'm sorry, i got confused, so for example the **LOC GTPE2_CHANNEL_X0Y4** do the job for me??? and if so, which pins will be my **TX** and **RX**?sorry i'm asking too much questions, i'm new to this transceiver – Foad Hoseyni Nov 19 '17 at 08:36
  • 1
    It will work, but you'll have to consult the package and pinout guide to see what pins that site uses. I can't tell you because I don't know what part you're using. – alex.forencich Nov 19 '17 at 16:58